Tesi Robotica Un co-processore per Stereo-Matching: Architettura | Page 30

i i “LP_Tesi” — 2011/9/9 — 21:20 — page 30 — #30 i 2.1. COSTRUTTI PRINCIPALI i 30 Algoritmo 2.1 Hello World! 1 package Hello; 2 3 4 5 interface Hello_Ifc; method Reg#(2) valueX; endinterface: Hello_Ifc 6 7 8 (* synthesize *) module mkHello (Hello_Ifc); 9 10 Reg#(Bit#(2)) x = mkReg(0); 11 12 13 14 15 rule greet; $display ("Value before-x:",x); x<=x+1; $display ("Value after-x:",x); 16 17 18 19 20 Bit#(2) y=0; $display ("Value before-y:",y); y=y+1; $display ("Value after-y:",y); 21 22 23 $display ("Hello World!"); endrule 24 25 26 27 method Bit#(2) valueX() return x; endmethod 28 29 30 endmodule: mkHello endpackage: Hello Action: eseguono delle azioni senza ritornare niente; Value: ritornano il valore un certo valore; ActionValue: eseguono azioni e ritornano valori. Ogni metodo viene eseguito nel ciclo di clock in cui viene chiamato. Il programma esposto è molto semplice, la regola greet stampa a schermo: il valore di x i i i i